6-K

UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549

FORM 6-K

Report of Foreign Private Issuer
Pursuant to Rule 13a-16 or 15d-16
of the Securities Exchange Act of 1934

Date of Report: July 10, 2008
Commission File No.: 000-30688

NOVA MEASURING INSTRUMENTS LTD.

Building 22 Weizmann Science Park, Rehovot
P.O.B 266
Israel

Indicate by check mark whether the registrant files or will file annual reports under cover
Form 20-F or Form 40-F:

Form 20-F x Form 40-F o

Indicate by check mark whether the registrant is submitting this Form 6-K in paper as permitted by
Regulation S-T Rule 101(b)(1): ____

Indicate by check mark whether the registrant by furnishing the information contained in this Form is also
thereby furnishing the information to the Commission pursuant to 12g3-2(b) under the Securities Exchange
Act of 1934:

Yes o No x

If "Yes" is marked, indicate below the file number assigned to the registrant in connection with 12g3-2(b):

N/A.

        Attached hereto and incorporated by way of reference herein is a press release issued by the Registrant on, and dated, July 10, 2008, and entitled “Nova Sets an Industry Milestone for Optical CD Metrology”.

        This report on Form 6-K is hereby incorporated by reference into Nova Measuring Instruments Ltd.‘s registration statements on Form S-8, filed with the Securities and Exchange Commission on the following dates: September 13, 2000 (File No. 333-12546); March 5, 2002 (File No. 333-83734); December 24, 2002 (File No. 333-102193, as amended by Amendment No. 1, filed on January 5, 2006); March 24, 2003 (File No. 333-103981); May 17, 2004 (three files, File Nos. 333-115554, 333-115555, and 333-115556, as amended by Amendment No. 1, filed on January 5, 2006); March 7, 2005 (File No. 333-123158); December 29, 2005 (File No. 333-130745); September 21, 2006 (File No. 333-137491); and November 5, 2007 (File No. 333-147140) and into Nova Measuring Instruments Ltd.‘s registration statement on Form F-3, filed with the Securities and Exchange Commission on May 11, 2007 (File No. 333-142834).



Signatures

        Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

NOVA MEASURING INSTRUMENTS LTD.
(the "Registrant")

By: /s/ Dror David
——————————————
Dror David
Chief Financial Officer

Date: July 10, 2008





Company Contact: Investor Relations Contacts:
Dror David, Chief Financial Officer Ehud Helft / Kenny Green
Nova Measuring Instruments Ltd. GK Investor Relations
Tel: 972-8-938-7505 Tel: +1-646-201-9246
E-mail: info@nova.co.il E-mail: info@gkir.com
http://www.nova.co.il

Nova Sets an Industry Milestone for Optical CD Metrology

Demonstrated less than 1 second measurement time

REHOVOT, Israel, July 9, 2008 - Nova Measuring Instruments Ltd. (NASDAQ: NVMI) provider of leading edge stand-alone metrology and the market leader of integrated metrology solutions to the semiconductor process control market, today announced achieving and demonstrating performance which satisfies an industry challenge previously considered by ISMI/Sematech to be the “Holy Grail” of Optical CD throughput.

During an evaluation by a global body of leading semiconductor manufacturers, Nova demonstrated a Move-Acquire-Measure (MAM) time of less than 1 second on CD applications while maintaining all metrology parameters: Total Measurement Uncertainty (TMU), precision, accuracy and fleet matching, well within the required specifications down to the 32nm technology node.

The comparative evaluation report acknowledges that Nova’s metrology meets the International Technology Roadmap for Semiconductors (ITRS) requirements for TMU and fleet matching down to the 32nm technology node and states that the demonstrated MAM time sets a milestone for Optical CD. Referring to NovaMARS, the report adds that with its set of predefined plug & play ready and complex setup models, a process engineer is not required to be an expert in optical physics and can design a model within less than 3 hours.

“High throughput helps semiconductor manufacturers to cope with next-generation lithography challenges, such as Double Patterning that dictate high metrology sampling, in an area of the fab which typically runs 200 wafers per hour,” said Avron Ger, Nova’s Vice President of Market Development. “This momentous result means that our customers can now get a better and more accurate optical metrology, while at the same time significantly increasing their metrology sampling and lowering their cost of ownership. For our metrology roadmap, we remain committed to constantly increasing our platform throughput, while at the same time raising the bar of metrology performance particularly in terms of accuracy, precision and fleet matching. “



About Nova: Nova Measuring Instruments Ltd. develops, produces and markets advanced integrated and stand alone metrology solutions for the semiconductor manufacturing industry. Nova is traded on the NASDAQ & TASE under the symbol NVMI. The Company’s website is www.nova.co.il.

This press release contains forward-looking statements within the meaning of safe harbor provisions of the Private Securities Litigation Reform Act of 1995 relating to future events or our future performance, such as statements regarding trends, demand for our products, expected deliveries, transaction, expected revenues, operating results, earnings and profitability. Forward-looking statements involve known and unknown risks, uncertainties and other factors that may cause our actual results, levels of activity, performance or achievements to be materially different from any future results, levels of activity, performance or achievements expressed or implied in those forward-looking statements.  These risks and other factors include but are not limited to: our dependency on a single integrated process control product line; the highly cyclical nature of the markets we target; our inability to reduce spending during a slowdown in the semiconductor industry; our ability to respond effectively on a timely basis to rapid technological changes; risks associated with our dependence on a single manufacturing facility; our ability to expand our manufacturing capacity or marketing efforts to support our future growth; our dependency on a small number of large customers and small number of suppliers; risks related to our intellectual property; changes in customer demands for our products; new product offerings from our competitors; changes in or an inability to execute our business strategy; unanticipated manufacturing or supply problems; changes in tax requirements; changes in customer demand for our products; risks related to currency fluctuations and risks related to our operations in Israel.  We cannot guarantee future results, levels of activity, performance or achievements. The matters discussed in this press release also involve risks and uncertainties summarized under the heading “Risk Factors” in Nova’s Annual Report on Form 20-F for the year ended December 31, 2007 filed with the Securities and Exchange Commission on March 28, 2008.  These factors are updated from time to time through the filing of reports and registration statements with the Securities and Exchange Commission.  Nova Measuring Instruments Ltd. does not assume any obligation to update the forward-looking information contained in this press release