zk1109289.htm


UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, DC 20549

FORM 6-K

REPORT OF FOREIGN PRIVATE ISSUER
PURSUANT TO RULE 13a-16 OR 15d-16 OF 
THE SECURITIES EXCHANGE ACT OF 1934
 
January 10, 2011
 
Commission File No.: 000-30688
 
NOVA MEASURING INSTRUMENTS LTD.
(Translation of registrant’s name into English)

Building 22 Weizmann Science Park, Rehovot
P.O.B 266
Israel
 (Address of principal executive offices)
 
Indicate by check mark whether the registrant files or will file annual reports under cover Form 20-F or Form 40-F. 

Form 20-F x    Form 40-F o
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(1): ____ 
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(7): ____ 
 
Indicate by check mark whether by furnishing the information contained in this Form, the registrant is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934. 

Yes o    No x
 
Attached hereto and incorporated by way of reference herein are the slide presentations to be presented at the Registrant’s investor and analyst day to be held on January 10, 2011.

SIGNATURES
 
Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. 
 
 
 
 
Date: January 10, 2011 
 
NOVA MEASURING INSTRUMENTS LTD.
(Registrant)
 
By: /s/ Dror David
——————————————
Dror David
Chief Financial Officer

 
 

 
 
Sustaining Long-Term Profitable Growth
Presenting the Strategy for Taking Nova to the Next level
January 10, 2011 Analyst Day
Gabi Seligsohn, President & CEO
Dror David, CFO
 
 

 
2
Cautionary Statement Regarding Forward-Looking Statements
This presentation includes statements that constitute forward-looking statements within the meaning of safe harbor
provisions of the Private Securities Litigation Reform Act of 1995 relating to future events or our future financial
performance, and involve known and unknown risks, uncertainties and other factors that may cause our actual results,
level of activity, performance or achievements to be materially different than expressed or implied by these forward
looking statements. You should not place undue reliance on forward-looking statements since they involve known and
unknown risks, uncertainties and other factors which are in some cases beyond our control and which could materially
affect actual results, level of activity, performance or achievements. These risks and other factors include but are not
limited to: our dependency on two integrated process control product lines; the highly cyclical nature of the markets we
target; our inability to reduce spending during a slowdown in the semiconductor industry; our ability to respond
effectively on a timely basis to rapid technological changes; our dependency on OEM suppliers; risks associated with our
dependence on a single manufacturing facility; our ability to expand our manufacturing capacity or marketing efforts to
support our future growth; our dependency on a small number of large customers and small number of suppliers; risks
related to our intellectual property; changes in customer demands for our products; new product offerings from our
competitors; changes in or an inability to execute our business strategy; unanticipated manufacturing or supply
problems; changes in tax requirements; changes in customer demand for our products ; risks related to currency
fluctuations and risks related to our operations in Israel. 
The matters discussed in this presentation also involve risks and uncertainties summarized under the heading “Risk
Factors” in Nova’s most recent Annual Report on Form 20-F filed with the Securities and Exchange Commission. These
factors are updated from time to time through the filing of reports and registration statements with the Securities and
Exchange Commission.
Any forward-looking statements contained in this presentation are made as of the presentation date and Nova
Measuring Instruments Ltd. is under no obligation to revise or update these forward-looking statements.
Certain of the information contained herein concerning economic trends and performance is based upon or derived from
information provided by third party consultants and other industry sources. We have not independently verified and
cannot assure the accuracy of any data obtained by or from these sources.
 
 

 
3
Why are we here today?
§ We want to share our story of success:
 § Becoming a multi-product company
 § Expanding our customer base
 § Expanding our addressable markets
 § Delivering strong financial results
§ We would like to share our views of the industry
§ We would like to present our investment strategy for taking Nova to the next level:
 § Expanding our SAM in an expanding market which is expected to approach $1B
 § Penetrating the emerging 3D-IC market relying on our existing customer base
§ We would like to discuss our long term financial model:
 § Maintain strong operating margin on significantly higher revenues
 
 

 
Achievements so far
 
 

 
5
Nova has executed well on its growth strategy
Expanding
Product
Portfolio
Increased
Fab Footprint
Displacing
Traditional
Metrology
Integrated Metrology;
Standalone Metrology;
Software
4 Process Steps
2012 TAM ~$700M
2007 TAM ~$200M
1-2 Process Steps
Integrated Metrology
 
 

 
Revolutionized Business Model Leads to Improved financial performance
OEM
OEM
End User
End User
Improved
Gross Margin
Stand-alone
(SA)
Service
Higher productivity
&
Advanced software
capabilities
2008 Gross Margin: 9%
2010 Gross Margin: 30%
+ NovaMARS® software
 
 

 
Well positioned in growing segments and applications
Nova Customer base includes most of world’s leading IC manufacturers
7
Memory:
+135%
Foundry:
+115%
Est. ’10/’09 WFE
Growth:
Source: Gartner Q2
 
 

 
8
2010 penetrations create more growth potential for 2011
2005
2007
At Year End
# Standalone
Customers
2
5
7
2011
14
2009
Nova Optical CD
Differentiation
Cluster
Best
Reliability
Best
Solution
Quality
Highest
Throughput
Best
Tool-to-Tool
Matching
Shortest
Time to
Solution
 
 

 
9
Nova’s Expansion Within the Fab
Wafer In
Wafer Out
Notes:
Based on Foundry with 100,000 wafer starts per month, <65nm, 10 layers
Implant
Litho
Etch
CVD/EP
CMP
$9 Million Opportunity
- 2007 Opportunity -
- 2010 Opportunity -
$30-$40M Million Opportunity
Implant
Litho
Etch
CVD/EP
CMP
 
 

 
Nova’s Market Share in Optical CD (IM and SA)
Continuously
Improving
Market Position
Source: Gartner and Nova’s estimates
10
 
 

 
11
Metrology Market Moving to Optical Technology
§ Key 2009 Developments:
 Ø We have taken the lead in the copper metrology market
 (2009 - 50% of our product revenues)
 Ø 2009 was our first big year in Optical CD, taking share from market leaders
 (2009 - 28% of our product revenues)
Source: Gartner and Company Estimates
 
 

 
12
Unification of the Metrology Market
From Multiple Technologies to Primarily Optical
$284M
-
-
Expansion of Nova’s
Addressable Market
Source: Gartner and Company Estimates
Becoming a Unified
Metrology Market - All
Addressable by Optical
Thin Film Metrology
Copper Metrology
CD Metrology
 
 

 
13
Strong execution outperforming the industry for second consecutive year
Note:
Based on published results and Q4/2010 Guidance
Industry - down 50%
Nova - up 10%
(products)
Industry - up 130%
Nova - up 140%
(products)
 
 

 
 
2009
2010E
Revenues
$39M
$85M-$86M
Gross Margin Blended %
45%
 55%
Gross Margin Products
56%
 60%
Gross Margin Services %
7%
 30%
Operating Expenses - %
of Revenues
 
38%
 
 30%
Net Margin %
7%
 25%
Net Profit
$3M
$21M-$22M
Earnings Per Share
$0.13
$0.80-$0.85
Driving Profitability - Strong Momentum
14
Note:
2010E - based on published results and Q4/2010 Guidance
 
 

 
Industry Review - 2011 and Beyond
 
 

 
16
Process complexity is THE growth driver for process control
Source: Intel
 
 

 
17
Applications driving growth through 2014
Source: Gartner
Memory
Memory;
Foundry
Memory;
Foundry
Memory;
Foundry
 
 

 
18
We are focused where the future is being created
 
 

 
Item
 
NAND flash
P
Mobile DDR DRAM
P
NOR flash
P
Serial flash
P
WCDMA power amplifier
P
GSM EDGA power amplifier
P
Baseband
P
A-GPS
P
Bluetooth
P
Power management IC
P
3.2-megapixel CIS
 
SAW (surface acoustic wave)
filter
 
Connector
 
PCB
 
19
Nova 2011 - Well positioned in growing segments and applications
* Source: Industry sources in Taiwan, compiled by Digitimes, April 2009
 
 

 
20
Tablet PC market
Largest yearly growth of any electronic system ever!
 
 

 
21
The love for consumer electronics is growing
Asia Pacific fastest growth rate of new cell subscribers - 210%
By 2015 will have 3.1 Billion subscribers
Source: Euromonitor International from Trade Sources
While per capita income shrunk consumer
electronics spending grew significantly
 
 

 
22
The US Economy - plays a smaller role than before
Black Friday - the day after Thanksgiving
212 million hit the streets or the Web
and spent, on average, $365.34 (~10% higher than last year)
58% was spent on consumer electronics mostly handheld
“Americans’ Spending on Consumer Electronics Up 12% from Last Year”
Consumer Electronics Association January 5, 2011
 
 

 
2011 WFE forecast
Nova Confidential and Internal Use Only
23
Analyst
WFE Growth
2011/2010
Gartner
-3.4%
VLSI Research
+5%
SEMI
+23.6%
Citi
0% to +10%
PiperJaffray
+10%
23
Segment
2011/2010
Foundry
FLAT
NAND Flash
UP
DRAM
DOWN
IDM
FLAT
 
 

 
Nova - 2011 and Beyond
 
 

 
25
Strategy for taking Nova to the next level
§ Strategic focus has been on execution and expense control while maintaining
 adequate R&D to penetrate standalone market
§ Main strategic goals for the next 12-18 months:
 § Expand SAM (Share of Addressable Market) in existing segments
 § Expand total addressable market by addressing emerging process
 technologies
 § Continue to focus on high value - high margin products
 § Generate substantial free cash flow while also investing to support the next
 phase of growth
Our products will continue to combine high reliability, low COO and advanced measurement capabilities
 
 

 
26
Expanding Nova’s SAM
- 2010 Opportunity -
Litho
Etch
CVD
CMP
- 2011 and beyond -
Litho
Etch
CVD
CMP
Large scale proliferation still ahead of us
Capturing the expanded opportunity requires increase of R&D investments in 2011
STI, Poly,
Metal 1,
Inter Metal,
Top metal,
STI - ADI, AEI,
spacer H, SiN removal
Poly - ADI, AEI, spacer
BE - M1, TM, IM
Selective depositions,
EPI, SiGe,
FE - Poly, Al, W
BE - M1, TM, IM
 
 

 
Leveraging Nova’s Position to expand addressable market
New Process Steps
3D Interconnect
Performance & bandwidth
Form Factor & Power Consumption
Leveraging Customer Base (Foundry & Memory)
Existing Process Steps
Front End IC
manufacturing
Implant
Litho
Etch
CVD/EP
CMP
SOC Horizontal Shrink
“More Moore”
3D Vertical Integration
“More Than Moore”
 
 

 
 
28
SMARTPHONES AND MOBILE COMPUTING
3D IC enables:
 15% footprint reduction
 30% Thickness reduction
 15%-30% less Power Consumption
 Reduced RC Delay
 Integration of Heterogeneous devices (with different design rules)
DRAM
Bandwidth for High Power Computing
Multi-core CPU are starved by
lack of data (memory) available to them
Frequency - DDR3/DDR4 - wire length, heat,
capacitance is becoming a bottleneck
HORIZONTAL SHRINK LIMIT
§ EUV Litho is expensive and not ready
§ Vertical integration becomes the cost effective alternative
The justifications for 3D IC are numerous
 
 

 
3D IC main process steps
Several new challenges requiring extensive process control
§ The transition to 3D IC depends on several factors:
 p Making the process production worthy - currently estimated to be 18-24
 months out
 p Cost considerations - market demands that added cost per wafer be <$100
 (currently much higher)
 p Alignment between semiconductor manufacturers to support chip stacking -
 several collaborations and consortiums have been formed to define standards
§ As a result:
 p 3D IC production ramp is expected in 2013
 p Metrology and Inspection Market expected to be ~$250M by 2013
29
Bond ,Thin &
Reveal
Etching
Filling
Detach + Align
 
 

 
30
Strategy requires stepping up investments
§ Existing markets - expand SAM by enhancing product capabilities:
 § Develop and role out highly competitive Next Generation Optical CD solution to
 expand the number of measurable applications at 3x/2x nodes
 § Improve sensitivity, productivity, time to solution and ease of use
 § Initial revenue shipments in 2011, significant transition in 2012
§ 3D IC market:
 § Ship initial evaluation units to customers during 2011 - already actively engaged
 with several customers (all existing Nova customers)
 § Revenues expected to start in 2012 and significantly increase in 2013, as
 market starts to take off
Continue on path of success with Optical CD while aligning with customers’ long term direction of vertical shrink
SOC Horizontal Shrink
“More Moore”
3D Vertical Integration
“More Than Moore”
 
 

 
Strategic Objective
Expand business:
§ Expand addressable market from ~$700M to ~$950M
§ Continue to increase Optical CD market share by adding significant number of
 applications at existing customer sites
§ Successful execution of strategy should result in:
 p Significant revenue increase from current quarterly revenue run rate of ~$25M to
 ~$40M in 2013
 p Maintaining target operating profit margins
Near Term Financial Impact:
§ Step up R&D expenses by $1M-$1.5M per quarter
§ Incremental revenues from new products will begin to ramp in H2/11
§ Increase in capital spending for infrastructure and tools to support new product role out
 and manufacturability and expand evaluations
31
 
 

 
32
Financial Model
Notes:
(1) 2010E - based on published results and Q4/2010 guidance.
(2) Long-term tax rate - ~12%.
 
 

 
33
Ability to continue growth is well founded
$50B spent on 15 new
fabs over next 2-3 years
Recent SA penetrations
14 customers in 2011
(up from 7 in 2009)
Outpacing industry growth rate
Expanding Fab footprint
Litho
Etch
CVD/EP
CMP
Focus on 3D-IC market
Roadmap aligned with
customer needs
New products and features
Expanded Customer Base
Industry
Accelerating OCD Adoption
New strategic Initiative
Further
Growth
CAGR 2005-2010
Nova: 26%
Industry: 2%